详细资料
Details
教师头像
王晶
副教授、博导,入选国家级高层次青年人才项目,北京市科技新星,北京市青年拔尖人才培育计划。主要研究方向是计算机系统结构、人工智能系统优化、智能芯片设计、软硬件协同设计和高能效计算,近期关注面向人工智能和大数据应用的领域专用加速架构研究。研究成果在计算机体系结构领域顶级期刊和会议TC,TPDS,TACO,TCAD,HPCA,MICRO等发表。

电子邮箱:jwang@ruc.edu.cn

更多
教育经历

2001.09 - 2005.07, 哈尔滨工业大学, 计算机科学与技术系,工学学士

2005.09 - 2011.06, 北京大学,计算机科学与技术系,理学博士

工作经历

2022.05 - 至今, 中国人民大学, 信息学院,副教授,中国人民大学杰出学者

2013.05 - 2022.05,首都师范大学,信息工程学院,研究员,副院长

2011.07 - 2013.07,北京大学,信息科学与技术学院,博士后

研究方向

* 智能计算系统、面向人工智能和数据库应用的领域专用加速架构研究

* 计算机系统结构、并行与分布式处理,高能效计算系统

讲授课程

1. 计算机系统基础

2. 智能计算系统

科研项目

[1]国家自然科学基金面上项目:高能效高可靠神经网络计算架构研究,2021.1-2024.12 (主持)

[2]国家自然科学基金面上项目:差错容忍的近阈值计算技术研究,2018.1-2021.12 (主持)

[3]国家自然科学基金青年项目:近阈值电压高速缓存的可靠性技术研究,2015.1-2017.12 (主持)

[4]国家重点基础研究发展计划(973计划)子课题:系统级SEU故障的容错加固研究,2013.1-2016.12(主持)

[5]国家高技术研究发展计划(863计划)子课题:内存计算模式下的自适应任务迁移模块单元,2015.12-2018.12(主持)

[6]中国科学院计算技术研究所课题:神经网络经典算法特征提取研究,2018.5-2018.12(主持)

[7]中国空间技术研究院北京空间飞行器总体设计部课题:大规模FPGA单粒子故障仿真及故障注入系统研制,2017.11-2018.10 (主持)

[8]中国空间技术研究院北京空间飞行器总体设计部课题:智能信息处理高速总线设计技术,2020.9.1-2021.9.1(主持)

[9]计算机体系结构国家重点实验室开放课题:软件定义容错能力的近阈值电压高速缓存研究,2016.11-2018.11(主持)

[10]北京市教育委员会面上项目:纳米微处理器容错加固技术研究,2016.1-2018.12(主持)

[11]广东省重点实验室开放课题:云计算环境下高速缓存虚拟化技术研究,2014.1-2016.12 (主持)

[12]中国科学院计算技术研究所课题:海云服务器原型系统的可靠性和可用性测试,2013.10-2014.10(主持)

[13]中国科学院上海高等研究院课题:终端屏幕镜像软件及测试,2015.1-2015.9 (主持)

[14]北京未来芯片技术高精尖创新中心(清华大学)科研基金项目:支持近似的高能效计算技术研究,2018.10-2020.10 (主持)

[15]北京智芯微电子公司开放课题:高可靠神经网络智能计算架构研究,2021.7-2022.12 (主持)

[16]主持北京市大学生“实培计划”, 新一代容器化数据中心网络性能评估与优化,2017.01-2017.12 (主持)

人才项目

[1]北京市科技新星项目,2018.1-2020.12

[2]北京市青年拔尖人才培育计划,2017.1-2019.12

科研成果

*** 论文 ***    

[1][TC] Jing Wang, Xin Fu, Xu Wang; Shubo Liu; Lan Gao; Weigong Zhang; Enabling Energy-Efficient and Reliable Neural via Neuron-Level Voltage Scaling, IEEE Transactions on Computers (TC),69(10), pp1460-1473, 2020. (CCF A类期刊)    

[2][TPDS] Jing Wang, Xin Fu, Weigong Zhang, Junwei Zhang, Keni Qiu and Tao Li, On the Implication of NTC vs. Dark Silicon on Emerging Scale-out Workloads The Multi-core Architecture Perspective. IEEE Transactions on Parallel and Distributed Systems, volume 2, issue 7, 2017. (CCF A类期刊)    

[3][HPCA] Chenhao Xie, Shuaiwen Leon Song, Jing Wang(通讯作者), Weigong Zhang, Xin Fu, Processing-in-Memory Enabled Graphics Processors for 3D Rendering. IEEE Symposium on High Performance Computer Architecture, Austin, Texas, USA, 2017. (CCF A类会议)    

[4][TCAD] Zhibin Yu,Jing Wang(通讯作者), Lieven Eeckhout, Chengzhong Xu. QIG: Quantifying the Importance and Interaction of GPGPU Architecture Parameters. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017. (CCF A类期刊)    

[5][HPCA] Mingcong Song, Kan Zhong, Jiaqi Zhang, Yang Hu, Duo Liu, Weigong Zhang, Jing Wang, Tao Li. In-Situ AI: Towards Autonomous and Incremental Deep Learning for IoT Systems[C]. 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA). Vienna, Austria, Feb.24-28,2018:92-103. doi:10.1109/HPCA.2018.00018(CCF A类会议)    

[6][MICRO]Xingyao Zhang, Chenhao Xie, Jing Wang, Weidong Zhang, Xin Fu. Towards Memory Friendly Long-Short Term Memory Networks (LSTMs) on Mobile GPUs[C]. The 51st Annual IEEE/ACM International Symposium on Microarchitecture (Micro). Fukuoka, Japan, Oct.20-24,2018:162-174.(CCF A类会议)    

[7][MICRO] Yirong Lv , Bin Sun , Qinyi Luo , Jing Wang , Zhibin Yu , Xuehai Qian CounterMiner: Mining Big Performance Data from Hardware Counters, [C]. The 51st Annual IEEE/ACM International Symposium on Microarchitecture (Micro). Fukuoka, Japan, Oct.20-24,2018:162-174.(CCF A类会议)    

[8][ComMag]Jing Wang,Zhongqi Li,Weigong Zhang, Tao Li. On the Exploration of a Low-Power Photonic Network Architecture, IEEE Communications Magazine, 58(9), pp67-72, 2020. (JCR Q1)    

[9][FITEE]Jing Wang, Wei-Wei LIANG, Yue-hua NIU, Lan GAO, Wei-gong ZHANG. Multi-dimensional optimization for approximate near threshold computing, Frontiers of Information Technology & Electronic Engineering, 21(10), pp 1413-1543, 2020 (JCR Q2)

[10][ICPP] Jing Wang, Yanjun Liu, Weigong Zhang, Keni Qiu, Kezhong Lu, Xin Fu, Tao Li, Exploring Variation-Aware Fault-Tolerant Cache under Near-Threshold Computing. International Conference on Parallel Processing. Fhiladelphia, Pennsylvania, USA, August 2016. (CCF B类会议)    

[11][MiddleWare] Li Wang, Tianni Xu, Jing Wang(通讯作者), Weigong Zhang, Xiufeng Sui, Yungang Bao. Understanding the Behavior of Spark Workloads from Linux Kernel Parameters Perspective. The International Conference on MiddleWare 2016. (CCF B类会议)    

[12][ICCD] Yuhai Cao, Chao Li, Quan Chen, Jingwen Leng, Minyi Guo, Jing Wang, and Weigong Zhang. DR DRAM: Accelerating Memory-Read-Intensive Applications[C]. The 36th IEEE International Conference on Computer Design (ICCD). Orlando, Florida, USA, Oct.7-10,2018:.(CCF B类会议)    

[13][CSUR] CHAO LI, YUSHU XUE, Jing Wang, WEIGONG ZHANG, TAO LI. Edge-Oriented Computing Paradigms: A Survey on Architecture Design and System Management. ACM Computing Surveys. Vol.51(2),2018:39:1-34.    

[14][CF] Jing Wang, Junwei Zhang, Weigong Zhang, Keni Qiu, Tao Li, Minhua Wu, Near Threshold Cloud Processors for Dark Silicon Mitigation: The Impact on Emerging Scale-out Workloads. Computing Frontiers(CF), 2015(CCF C类会议)    

[15][CF] Jing Wang, Xiaoyan Zhu, Yanjun Liu, Jiaqi Zhang,Minhua Wu, Weigong Zhang, Keni Qiu. Heterogeneous Energy-Efficient Cache Design in Warehouse Scale Computers. Computing Frontiers(CF), 2015(CCF C类会议)    

[16][JSA] Chun Jason Xue, Keni Qiu, Weigong Zhang, Jing Wang, Yuanchao Xu, Mengying Zhao. Data Re-allocation Enabled Cache Locking for Embedded Systems. Journal of Systems Architecture (JSA), 2016, accepted.(CCF B类会议)    

[17][JS] Jing Wang,Yang Xing, Zhao Yuanfu, Zhang Weigong, Shen Jiao and Qiu Keni.Multi-bits Error Detection and fast Recovery in RISC Cores. Journal of Semiconductors. Vol 36 issue 11.pp 75-79. 2015.    

[18][CJE] Fang Juan, Jing Wang(通讯作者), Li Chengyan, Yao Zhicheng, Ke Weimao. Partition-Based Cache Replacement to Manage Shared L2 Caches. The Chinese Journal of Electronics. 23(3), pp464-467, 2014.(SCI)    

[19][CF] Qi Zhong, Jing Wang(通讯作者), Xuetao Guan, Dong Tong, Tao Huang, Keyyi Wang。"Object-centric Bank Partition for Reduing Memory Interference in CMP Platforms", Proceedings of ACM Conference on Computing Frontiers 2014. (CCF C类会议)  

[20][ICCD] Keni Qiu, Junpeng Luo, Zhiyao Gong, Weigong Zhang, Jing Wang, Yuanchao Xu, Tao Li and Chun Jason Xue. Refresh-Aware Loop Scheduling for High Performance Low Power Volatile STT-RAM[C]//The 34th IEEE International Conference on Computer Design (ICCD). IEEE, 2016.(CCF B类会议)    

[21][ICCD] Keni Qiu, Yuanhui Ni, Weigong Zhang, Jing Wang, Xiaoqiang Wu, Chun Jason Xue and Tao Li. An Adaptive Non-uniform Loop Tiling for DMA-Based Bulk Data Transfers on Many-Core Processor. ICCD 2016 (Best Paper) (CCF B类会议)    

[22][VEE] Ning Jia, Chun Yang, Jing Wang. Improving Dynamic Binary Translation through SPC-indexed Indirect Branch Redirecting.ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments (VEE),pp 1-12,2013.(CCF B类会议)

[23][ISPA] Tao Huang, Jing Wang(通讯作者),Xuetao Guan, Qi Zhong, Keyi Wang. Combining Process-based Cache Partitioning and Pollute Region Isolation to Improve Shared Last Level Cache Utilization on Multicore Systems, International Symposium on Parallel and Distributed Processing with Applications ISPA, pp1153-1160, 2013.    

[24][CJE] Jing Wang, Xuetao Guan, Xu Cheng. "Energy-Efficient Web Browsing for Wireless Network Interface Card". The Chinese Journal of Electronics. 21(1), pp144-148,2012    

[25][CJE] Jing Wang, Xuetao Guan, Xu Cheng,Jason Cong. "Wireless Network Interface Card Energy Management for Interactive Applications". The Chinese Journal of Electronics. 20(1), pp45-51,2011    

[26]王晶,荣金叶,周继芹,于航,申娇,张伟功. “软硬件协同设计的SEU故障注入技术研究”. 电子学报,46(10):2534-2538. 2018    

[27]王晶,申娇,丁利华,杨星,邱柯妮,张伟功. “基于周期粒度的级间寄存器备份机制”. 电子学报,Vol.46(10) :2486-2494. 2017    

[28]王晶,管雪涛,杨春,张杨,程旭. “CBLA:多信道无线网状网络负载感知的分簇式信道分配”. 电子学报,38(3):546-551,2010.

[29]许丹亚, 王晶(通讯作者), 王利, 张伟功,基于Spark的大数据访存行为跨层分析工具,计算机研究与发展, 57(6): 1179-1190,2020.    

[30]方荣强,王晶(通讯作者),姚治成,刘畅,张伟功. 多层神经网络算法的计算特征建模方法, 计算机研究与发展, 56(6),1170-1181. 2019.


*** 专利 ***    

[1]发明专利:动态可重构高速串行总线中的多主仲裁方 法. ZL201510313606.5.

[2]发明专利:嵌入式微处理器高速缓存4位数据 翻转错误的纠正装置与方法. ZL201510508446.X.

[3]发明专利: 面向SPARC V8处理器的自修复双冗 余流水线及容错方法. ZL201510884593.7.

[4]发明专利: 一种双模冗余流水线的故障检测及回退方法. ZL201510701242.8.

[5]发明专利:一种双模冗余流水线的故障检测及回退装置. :ZL201520833432.0.

[6]发明专利:嵌入式微处理器高速缓 存的混合纠错装置与方法. ZL201510507409.7.

[7]发明专利:一种无线工作模式的控制系统和方法,ZL201010119527.8

[8]发明专利:一种多信道无线网状网中的路径选择方法及装置. ZL201010226609.2

[9]发明专利: 动态可重构总线监听系统中的通 道健康状态记录装置. ZL201510398170.4.

[10]发明专利: 动态可重构总线监听系统的三级 缓冲存储装置与方法. ZL201510398169.1.

[11] 发明专利:高速缓存多位数据翻转 错误的检测及容错装置与方法.ZL201510507410.X.

[12]发明专利:一种基于自动驾驶的神经网络数据存储方法及系统,ZL201911232552.4

[13]发明专利:一种高速缓存存储器数据读写控制方法及系统,ZL201910449724.7

[14]发明专利:基于人工神经网络的硬件资源分配方法及系统,ZL2019100449695.4

荣誉获奖

[1]中国产学研合作创新与促进奖-产学研合作创新成果,一等奖,第一完成人,2024

[2]首都前沿学术成果奖,北京市科学技术协会,第三,2022年

[3]顶级期刊《Transaction on Computers》 2020年10月期唯一亮点论文(Featured Paper)

[4] the 34th IEEE international Conference on Computer Design (ICCD2016) Best Paper Award

[5] 北京市高等学校教育教学成果奖一等奖,建设新师范和新工科相互融合的信息技术教育人才培养模式. 2018年4月,排名第4

[6] 北京市高等学校教育教学成果奖二等奖,以工程教育为基础,培养具备计算机系统观的创新型人才. 2018年4月,排名第5

[7] 北京高教学会计算机教育研究会计算机教学精彩片段比赛二等奖,2016年